分享
专业书籍 《数字集成电路物理设计》[电子版][书签版][国家集成电路工程领域-工程硕士系列教材][科学出版社](1).pdf
下载文档
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,汇文网负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
网站客服:3074922707
数字集成电路物理设计 电子版 书签版 国家集成电路工程领域-工程硕士系列教材 科学出版社 专业书籍 数字
77飞/份I 2 RI;:.国家集成电路工程领域工程硕士系列教材国务院学位委员会集成电路工程硕士教育协作组组编全国集成电路人才培养基地专家指导委员会数字集成电路物理设计陈春幸艾霞王国雄编著4非等先后生 北京内容简介本书是国内绪.本全面、元饱介绍当今数字集成电路盯端布局布线设计技术的专门数材.作者综合n身多年理论研究和丰寓的实践与教学位验.详细介绍了基.J标准单元的数字集成也路从门级网发到鼓终布局有i线版阳也成过程中所渺及的多方丽c要工作.包括布图规划、电量监规划、布局、时钟树综合、,(线、衍生参数提取、静态时序分析、签收验hE刷物理验证,匀.本-1仅涵盖f掌掷,敖字后端设计所需的钉J:.芯片创造r.、数字标府根元1亨、设计中间文件等背景知识.而且充分综合当前所丽临的问题和挑战.对电I民阵、信号元骸件和低功瓦技术等问题也做了深入讨论.卒书对其牛;概念的讲授通俗易慌,柑关内将、自己套习跑彻实怆与实际:a紧密联系,以使该窑能够打下坚实的工程实践基础.布.格可作为高等院校集成电路设计相关仁业工程硕1:的敏材.也I作为相关专业本科t:平u研究生的教材.并可供集成电路设计:c.u师参考.图书在版编目(CIP)做错数f严集成电路物用!设计/陈在敢,立馁,五liilW编若.一北京:科学出版社,2008(咱家集成电路工程领域工和硕士系列敦材ISBN 978-7-03-022031-8 I.数u.l药、艾王回.字集成电路喃电路设计-研究生,放材N.TNtl31.202 叶因版本图书俯CIP数据核字(28)第074333号责任纳件:马长芳潘继敏责任校对2张琪责任印制:张元忠/封面设计:耕者设计工作室4晴等.Jf-.il Hl版It岖自植恨.1t街16咕.峨偏码:100117 http:/www.sciencep.m 自tl$fp.4咱嗡 fl-tfl.而印刷科学出版社发行各地籍华店经销*2008年8月第版开车:,787XI092I/l6 28年8月第一次印刷印张:19印数,1-40 字数仰、。定价:36.00元(n1印装质ft问题,.Jt社负t调换咧舱。)国家集成电路工程领域工程硕士系列教材国务院学位委员会集成电路工程硕士教育协作组组编全国集成电路人才培养基地专家指导委员会主编:严晓浪(浙江大学)副主编:余志平(清华大学,特邀)审稿人员:(以拼音为Jf)陈春幸洪志良吉利久罗伟绍石秉学时龙兴唐瑛山吴然平肖钢于敦山马长芳(责任编辑科学出版社 丛书序随着电子计算机的普及,人类社会已经进入了信息化社会。以集成电路为代表的微电子技术是信息科学技术的核心技术。集成电路产业是关系经济建设、社会发展和国家安全的战略性产业。集成电路技术伴随着半导体技术、计算机技术、多媒体技术、移动通信等技术的不断创新,得到了迅猛发展。从1958年美国的基尔比发明世界上第一块集成电路以来,集成电路已经从初期的小规模集成电路(SSD发展到今天的系统芯片(SoC),集成电路一直按摩尔定律(Moorelaw)向前演进。集成电路产业包含了相对她立的集成电路设计、集成电路加工制造、集成电路封装测试、集成电路材料、集成电路设备业等,而其中的集成电路设计是集成电路产业发展的龙头。近年来,我国的集成电路产业迅速发展。2000年以来我国的集成电路产值年平均增长率达到30%左右。坚持自主发展,增强技术创新能力和产业核心竞争力,掌握集成电路的核心技术,提高具有自主知识产权产品的比重是我们的历史性任务。发展集成电路技术的关键是培养具有创新和创业能力的专业人才,因此高质量、较快速度地培养集成电路人才是我们的迫切任务。毫无疑问,大学和大学老师义不容辞地要担负起这一历史责任。2003年以来,教育部先后在全国部分重点高校建设了国家集成电路人才培养基地,国务院学位委员会又在2006年批准设立集成电路工程领域培养工程硕士学位课程,意在不仅培养高水平的工学学士、硕士和博士,而且还要培养大量的集成电路工程领域的工程硕士,以满足我国集成电路产业迅速发展的需要。集成电路技术发展迅速,内容更新快,而我国现有的集成电路工程领域的教科书数量少,而且内容和体系上不能很好地反映学科的发展和工程技术教学的需要,也难以满足集成电路工程领域工程硕士的培养。为此,教育部全国集成电路工程领域工程硕士专业指导委员会和科学出版社,经过广泛而深入的调研,组织编写出版了这套国家集成电路工程领域工程硕士教材。本系列教材具有以下特色:1.内容完整,体系性强。本系列教材包括了集成电路器件、工艺、数字集 11 数字集成电路物理设if成电路设计、模拟集成电路设计、射频集成电路设计以及封装与测试.可以满足集成电路工程领域各个方向的教学。2.基础全面,工程性强。教材中不仅对集成电路的基础理论有较详细的论述,而且强调了集成电路的工程性,安排了较大篇幅的内容对具体的集成电路设计技术进行全面的讲解,以使学生在掌握集成电路基础理论的同时,能上机进行具体的设计,加深对理论的理解。3.适应教学,自学性强。在教材编写过程中考虑了现有工程硕士的教学时间,以及教学内容的完整性,对各种教学计划,可以灵活地将教材内容进行裁剪。另外,教材中相对突出了以实验为主的实践环节,以便学生自学。本系列教材的编写人员,不仅有从事教学第一线的高校教师,而且有从事集成电路设计多年,有丰富实践经验的国际著名集成电路设计公司的资深工程技术人员。在此表示衷心的感谢。-国务院学位委员会集成电路工程硕士教育协作组全国集成电路人才培养基地专家指导委员会2008年5月-.目q集成电路数字系统芯片的设川,从系统设计、功能将llJ:和逻辑综合jilj物Jlft实施,每一阶段的重要性U约越米越被人们认识。然而,关于组成山路设计,目前11三吗?缺少侧重于工程内容的教材平ll参考$籍,尤具M关f物理实施过程、设计方法和设计流靴,以及实施细节、相互间的内在依赖关系等方面.近十年来.从深吸微米到纳米设计中出现r许多新技术方案和设计流程,人们迫切需果有关专著进行讲解.今天的数宁集成电路设计显然必比十年前或:将百年lI复杂得多,过k人们将数字集成电路的物理设计14实施简称为盯峭设计,或被解释为边制综合、布j司与布线三步曲。tjJ实上,在当代的组成电路设计中,这-步工作更加侬帧J-EDA工具的nJ化,工程师要花费更多的时间分析民计数据、设计方案和设计结果.不断地调整布灿与布线巾的各种参数,已使设计达到时序收敛。作者试闯将已经广泛成用的物用设计方法以及纳米设计中面临的-蝇问题和新的设计思路和设计i讼,结合从事过的设计和多年的EDA!tT训及教学经验,编拧成书。再望能补充这一在科的部分空白,和平J忐于进入这一实践领域的专业工程师共芋,也苟望本书能成为具有一定物理设计基础和想从事物理设计的工程师有闸的参考书。随着半导体与工艺技术的快速友展,以及集成电路设计能力的不断增强和设计方法的持续更新,书中可能会有一些内容会落后或不符合实际应用的需求,同时-15中也难免有错误,恳切希哨专家、同行、读者们不齐指教,以便同版时O以更新、改正.本课程教学内容作为完整的体系,01以下三个部分组成:(1)课本敬材.悚4s:教材系统地介绍了集成电路物月.实施的概念和方法.第1章综述性地讲解了巧前设计的技术方案有1进展,及各种相关设计流程的步骤肩l(另Ij.其他各市依次讲解这将技术方案的指导思想和实现的具体过科,.第1章中的一些内容也可以放在本书后作为总结战后介绍。(2)幻灯教材。它与课本教材市节相互配合,具有i多的灵活性和撞眯帖,包含了t业实施的案例和阳片,由授课者根据学生的背景和兴趣只活选取。(3)实验敏u.这是理解课本教材和幻灯教材不可缺少的部分,尤其是大量的实验数据只能通过匕机练习和实验才能加强理解和应用.为f解决一时不能上帆的困难,我们可以用与本切实验教材配套的CD光批来补充,它包括物理实施的实验流程、实验方法的演示。致谢感谢浙江大学严晓浪教授和消华大学余志平教授在本括撰写过程中给予的大力支持 lV 数宁集成电路物建设计和l鼓励,并感激严晓浪教授对全书所做详细、完整的审阅工作,感谢M旦大学唐璜山教授对;(tiJ,:J和布线章节的指正和lil到.感谢北京大学张兴教授和I;J敦111教授.清华大学王志华教授,东南大学王志功教捷、n.tJt:兴教授和李智群教授,小刘科学院微电子研究所叶附存教授和11掠岚教授,哈尔泯1:业大学Jlr以正教授,阴安电子科技大学庄亦琪教授,电子科技大学乍广军教授,西安交通大学E国裕教授,同济大学林jEtj数授,深圳大学朱明程教授.中山大学陈弟虎教授等对本何可作的鼓励和早日出版的期盼.感谢陈宏和谷建余两位多年的同.本书分享了他们的设计方法和工程经验.但拈-从亚做米芯片到纳米芯片设计流程用到的各种设计方案,本书还得到了多位同行和10J事对相关章节的审阅和指lE.按市节顺序,他们是:如j飞、黄小心;、和J利民、戴伟进、向耀京、.F锁III、李存等,在此一并致谢。感谢关杰协助编写了第2市和l附求、相关图表.以Akjdj此大I,t的校对工作;冯文巾和李宋元成了与本书配套的实验敬材IJ流程。本书的著作得到Cadcnce的大力支持.Cadence为4:K的写作提供了若干.Q新的图在和与本何配套侦川的实验数据。感谢居龙、河n央、刘国军、孙坚和张耀宗带对本t写作的大力支持.陈春章2008年5月于北京.目录丛书序前言第1章集成电路物理设计方法.1.1 数字集成电路设计挑战1.2 数字集成电路设计流程.2 1.2.1 展平式物理设计.6 1.2.2 硅虚拟原型设计.7 1.2.3 层次化物理设计.7 1.3 数字集成电路设计收敛91.3.1 时序收敛101.3.2 功稳分析.10 1.3.3 可制造性分析.12 1.4 数字集成电路设计数据库四1.4.1 数据库的作用与结构131.4.2数据库的应用程序接口.14 1.4.3 数据库与参数化设计.14 1.5 总结.15 习题.15 参考文献.15 第2章物理设计建库与验证.矜.17 2.1 集成电路工艺与版图.17 2.1.1 CMOS集成电路制造工艺简介.17 2.1.2 CMOS稽件的寄生问锁效应212.1.3 版图设计基础.23 2.2 设计规则检查.25 2.2.1 版图设计规则.25 2.2.2 DRC的图形运算函数.27 2.2.3 DRC在数字rc巾的检查28 2.3 电路规则检查.28 2.3.1 电路提取与比较292.3.2 电气连接检查29 2.3.3 器件类型和数目及尺寸检查30 Vl 数字集成电路物理设计2.3.4 LVS在数字IC中的检查30 2.4 版图寄生参数提取与设计仿真.32 2.4.版图寄生参数提取322.4.2 版图设计仿真32 2.5 逻辑单元库的建立.33 2.5.逻辘单元类别34 2.5.2 逻辑单.元电路.35 2.5.3 物理单元建库与数据文件392.5.4 时序单元建库与数据文件G2.5.5 工艺过程中的天线效应512.6 总结53习题.54 参考文献.M 第3章布圈规划和布局.56 3.1 布图规划.56 3.1.1 布图规划的内容和目标573.1.2 0接口单元的放进与供电.58 3.1.3 布图规划方案与延迟预估613.1.4 模块布放与布线通道653.2 电源规划.67 3.2.1 电源网络设计68 3.2.2 数字与模拟混合供电753.2.3 时钟网络763.2.4 多电源供电.76 3.3 布局.78 3.3.1 且是平式布局78 3.3.2 层次化布局.79 3.3.3 布局目标预估.80 3.3.4 标准单元布局优化算法823.4 扫描链重组.83 3.4.1 扫描链定义83 3.4.2 扫描链重组.84 3.5 物理设计网表文件.88 3.5.1 设计交换格式文件.88 3.5.2 其他物理设计文件.88 3.6 总结.89 习题.J.89 n录VIl 03335789003A汀5592346681A667889999045555nynynvodnudnynunununununUAUAU1-ti-tiIn4。4。Ln4qL。,。L句,nL。LqJqdqdqJndqJ且EA-Atls-A-EA-AtA噜EAEa-EE-A唱EA-AEA-A噜Eta-A1A-EA-AEAtA噜BABA-A品?文川成uu束Lt-uhv hF,.,的uuH计HAH-J.凡且-v4.N-hIH-田们川UUHHum川与川-uu析析析uu川川UH规钟义-m协川件剧时u计分分分川川u计时应部动段法与u文略策川设树序屁甜你划标设线川口气的延亨偏方A门构川策A口例树钟时功蝶uuuHHmun与u钱布线uui附刊剖削伽合附概附汁瞅瞅叫叫树仰析啊悄悄械械瞅瞅阳梢叫树椭铲号统钟钟钟钟综钟钟钟设钟v钟步存如分钟钟钟线局局线细细呈殊源钟线对信系时时时时树时时时树时时异锁门树时时时.布全金布详详布特电时总献荆州叫12345仰123附12345攒123届献线到12棚123他123文时盯14LLLLEHZZZHVaa-a时44总文布41L许乙zzy1114144444244434444414445题考15525553555参章AhAtAU习h窃喜事5忌,队4 5 第第 Vlll 数字集成电路物理设计5.3.4 实验布线1365.4 布线算法1365.4.1 通道布线和而积布线.136 5.4.2 连续布线和多层次布线.137 5.4.3 筷块设计和模块布线.137 5.5 总结.138 习题.138 参考文献.139 第6章静态时序分析.140 6.1 延迟计算与布线参数提取1406.1.1 延迟计算模型.141 6.1.2 电阻参数提取.1446.1.3 电容参数提取1466.1.4 电感参数提取.二.148 6.2 寄生参数与延迟格式文件.148 6.2.1 寄生参数格式SPF文件.148 6.2.2 标准延迟格式SDF文件.150 6.2.3 SDF文件的应用1506.3 静态时序分析.152 6.3.1 时序约束文件.152 6.3.2 时序路径与时序分析.153 6.3.3 时序分析特例1616.3.4 统计静态时序分析.163 6.4 时序优化.165 6.4.1 造成时序违例的因素165 6.4.2 时序违侧的解决方案.165 6.4.3 原地优化1666.5 总结.167 习题.167 参考文献.167 第7章功耗分析.169 7.1 静态功耗分析.169 7.1.1 反偏二极管泄漏电流.169 7.1.2 门栅感应漏极泄漏电流170 7.1.3 亚阑值泄漏电流.170 7.1.4 栅泄漏电流.171 7.1.5 静态功耗分析.171 目录 IX 7.2 动态功耗分析.171 7.2.1 开关功糙1717.2.2 短路功耗.173 7.2.3 动态功耗分析与总功稳.174 7.3 电压降分析与电迁移分析.175 7.3.1 电压降与供电网络1757.3.2 电压降与封装1767.3.3 电压降与时序违例1777.3.4 电迁移与电流密度.179 7.4 功耗分析数据与文件.180 7.4.1 功耗分析与功耗数据.181 7.4.2 电源网格视图库1827.4.3 通用功耗格式文件与应用1827.5 总结184习题184参考文献185第8章信号完整性分析.186 8.1 信号串扰与功能故障1868.1.1$扰的产生1868.1.2 噪声容限.187 8.2 串扰信号分析.188 8.2.1 归扰分析.189 8.2.2 串扰与延迟.190 8.2.3 电压降与串扰.191 8.2.4 串扰与低功能1928.2.5 串扰的多层次分析.192 8.3 信号串扰预防与修复1938.3.1 归扰预防.193 8.3.2 串扰修复1938.3.3 虚拟串扰和毗值分析194 8.4 噪声数据库.195 8.4.1 噪声模型1958.4.2 噪声数据库1978.4.3 互连线噪声模型.198 8.5 总结.199 习题.200 参考文献.200 x 敬EF集成电路物理设计第9j院低功耗设计技术与物理实施.201 9.1 低功提设计方案综述2019.1.1 低功糙设计方案的.l!:.201 9.1.2 低功耗设计代码编.203 9.1.3 低功耗设计逻辑综介.2011 9.1.4 低功耗设计测试.205 9.).5 低功挺设计功能验证.206 9.2 低功艳设计基本方法勺物理实施.208 9.2.1 面织优化.208 9.2.2 多阀值咆压技术.208 9.2.3 门控时钟.208 9.3 低功屁设计先进力.U,IJ物用实施.209 9.3.1 多电源多也ffi技术.210 9.3.2 电源关断与状态保持电源门控技术2129.3.3 动态电压与频郁调节技术2159.3.4 衬底偏置技术.26 9.4 总结.217 刁题.218 参考文献218第JO章芯片设计的最终验证与签核.220 10.1 时序验证.220 J o.1.1 反向标定22010.).2 时序分析与功托分析.221 10.).3 时序分析与信号-元赞竹:分析.221 10.4 用MMMC做时序验证的力法22210.1.5 用MM.1C做时序驳议的实例22510.2 物理验证与芯片组装.228 10.2.1 设计规则检街228 10.2.2 光刻检何与可制础性设计22910.2.3 电路检查22910.2.4 芯片集成.229 10.3 逻辑等效验证与ECO23010.3.1 形式验证23110.3.2 逻辑等效验证.231 10.3.3 验证与ECO.231 10.1 数据交换及检街.232 10.4.1 数据交换232日录 XJ 10.4.2 检查内容及方法23310.5 总结.233 习题.233 参考文献.234 附景.235 附录1集成电路物理设计常用文梢总介.235 附录2VHDL 10法简介.236 附录3Verilog HDL句法简介.237 附录4VCD文梢简介.238 附录5SOC文梢简介.239 附录6GDSIl文挡简介.241 附录7LEF文树简介.243 附录8Liberty文挡简介.2,18 附录9DEF文肉简介.255 附录10八DSPF文档简介.259 附录10BRSPF文挡简介.261 附录10CSPEF文挡简介.263 附录11SDF文梢简介.267 附录12CPF文档简介.270 附录13TCF文档简介.271 附录14TWF文梢简介.273 附录15集成电路设计常用罔际单位制.274 附录16国际悦位制(S1)前缀.275 参考文献.275 索引.276 第1章集成电路物理设计方法数字集成电路的设计方法JL从电路fJH仓到产品实现的1:和手段.在物Jll!实施过程巾,山于设计的复杂件:.人们且.oJII乐于政心;相应的设计流和或流手里剧.n以重复在每应用.这样的设计流程图不难找到.)草竹还在不断地得到改进,但官们表面1:石-起来大问小异集成电路的设计工程绝对不同丁软件设hJx机械T程.它不;而虫设计,行程序化或机械化地tti照流程|每It二处理组杂的芯片设计,而是首先司:罢了解叮今集成电路设计的生Z杂性和挑战性兑1.1节).冉i参考相关的设l 流程兑1.2节).在实施过科寸不断JlI!解、分析并解决其It111l现的问题,使设川尽快得到收敛(见1.3节。小=i世将依次讲解这均内容,并将近年来组成电路设计中人们夫心的tJ设计复杂fl:相关的数据库方法(见1.4 W)在本fiT;拟在介绍,以协助读者理解复杂的设计本身与设计:I;共和环境的相互关系.1.1 数字集成电路设计挑战在第10J而EDAr叫际年会的名家捎训:巾11,如成电路设计依赖f电子设计(l动化(EDA,electronic design autornation)的友蜒过程,被1:-功地比拟为h帝时代、英雄时代有l人类时代.这种比喻形象地说明了集成电路EDA设计的友!是历程和各阶段的特征,20世纪80年代1)1.期的设计方法处F摸索的茫然中.EDA r.只.只能实现简悦的功能,工程帅与EDA工儿的交气i限.只能遭循特定的程序和实现方法.攸而称为上帝时代.接着.20 tlt纪90勾Z代设计方法的迅边友展造就f英雄哝;ii的电子时代.EDA技术衍到一定的发展,自动化程度不断提高,能够实现的规模逐渐用大,新的方法被不断提出,故而被称为英雄时代,到了21tIt纪,通过信息技术网络的传播带功了.3C(cornputer,commUn1 cation,consumer)产业,即计算机的普及山用、有线乎n线地位的交流、大量消费产品的开发初使用.i生导体和|集成电路成f人类的利息纣iIii1.集成电路EDA技术也件到了充分左展.从而.l1入了普lk的时代人类对电子产品的大量依赖和高性能的需求,苦苦求集成电路芯片越来越小,这推动f半导体工艺技术不断fr.l1,使!Jm成电路的设计技术不断改ut.也向专业程师提出了虫:1111艰巨的技术挑战这些挑战反映在以-f _二个方ri:芯片上的AA体管数蛙按照If尔定tlt(Moore law)的发展已达到10亿个;品性11尺寸从3(英寸,1央寸=2.54厘米到日前的12;品体毡的特征尺寸或者技术节点(technologynode)已经发展到IJ65nm)f巳建立45nm设计流程。从以上所述的发展到成熟应用所需时间米.f.大约句两年向前推进4个技术节点.I鸡此,J实上,下一个技术i点32nm的物理设计流程已经开始研o尽管光刻被长己纶受到技术限制,极紫外(EUV.extreml:UV.波民13nm)光刻技术淫需要数年的发展时间.但借助大型计算机的帮助,我们仍期望不久:n到IDM第一个22nm实验流片的成功.16nm的设计噜也将也不远的将米开发出来.同时,下一代150mm(18)品圆技数字集成电路物理设计.2.:1己也会与时俱进,以适应5千万j;lJ1亿万门以上逻辑门系统芯片的改计需求图1-1)。-、!271飞i 675mm,,、,户,山思剖窒44领ZgMm图1-1集成电路发展计划表根据ITRS和SIA数据|附¥刽i中下j方Ij阴网形闵的连线哀示品U日肌t飞阴j让、j(waf盯剖川zc)的大E玫重发展f句作f专吁f代t.J只I巾20Omm为8矿.、,30Omm为I归2150mm为18、,67邓5mm为2幻7.t民二力j彤彭削p仙削lJ(1的附i泣1止L线大敖习表挂示芯片t巾l涩4咂饥E吮tr川i数(MG.(1万fJ的t增曾川趋势.十11到1彭问的F陈迹线大款表示技术J.的2tllit数字集成电路设计流程1.2 组成电路从RTL到GDSlI的设计发展过程又被分作主个UI年代11.它们是发明、实施和整合(innovation,implementation,integration).发明年代包括布局布线的基本n法、时j子分析和逻辑综合(Iogicsynthesis)的发明;实施年代斤始于FPGA中门阵列的实现,八SIC设计中同等高度标准迦辑在元库的设计,它们使得EDA的方法得到充分的友展和应用;当集成电路设计变得越米越大,即出现f在RTL手11逻辑综合时,先将设l纶过分配(partition)变成模块化(module)的设计和优化方案,在tiJdJ布线时采用自底I;的堆砌法(bottom-up),这也是一种展平的设计方法见1.2.1节).所有模块完成后,且后再将它们整合在一起I41.在布局布线时的另外一种模块化设iI方案则是和综合相似的方案,实行自上向下(top-down)分配,变成模块化再将它们在合在一起见1.2.3节).在布J.J布线阶段,无论是自底向上或自上向下进行设计,都需要较民周期才能完成一轮的实验方案。因此,结合两者的特点,人们又提出了第三种快速实施j案,称之为硅虚拟原型设I(见1.2.2节。为f便于初学抨理解,孩们将先介绍n下ItJ上,而后介绍硅虚拟原响设1,挝后介绍自上向下的实施方法。不管采用哪一种实施方案.为了适合处理复杂的设第11在集成电路物理设计方法 3 计,EDA工具也随之按照整合的方案在发展。因此,各种工具是整合式的,官们必棋块化的,它们相互间的匹配应用具有延续性和l递增性。早期的集成电路物理实施Ji法只能由工程师通过指令窗(CIW,command in win-dow)读写数据,对通过几个引常分别进行设计的布局布线处理,这几种引擎也叫做点工具(point tools)0将点工具串接在一起,添加了图形界li(GUI,graphic user interface),使得用户设计变仰极为直现,根据设计关咽数字、模拟、数棋混合从而j.ill:不同的设计流程(flows)。为f在不同设计流平空间的数据交换方创.又将设计流和扩j眨建立r多个设计平台(platforms).当代的片上系统芯片(SoC,sytem-on-chip)的设计馆览山设计公司(fabless)、IP供应商、晶圆代工厂(foundry)和EDA供应商在设计生产链(design rhain)上共同配合.这邱复杂的方法和概念,有时使得初学者无所适从,因此人们又提供了设计锦囊(Ul-箱,kits)供选择.罔1-2是从点具到设计工具箱之间的后应阁。典哨的点工具例子Q时钟树综合(见第4j?1h典型的设计流程在1.3节介绍;.9.凹的设计平台是扩大化f的设t1-流程,包括与其他设计数据的接LI;典哨的设计链案例IIJ以用本阳第9YE低功艳设计方案来讨论;而典哨的设计工具箱可以用第2章物理设计与验证配合本IS附带的实验数据来说明.F EtIJ(.I 1)SfV(SLD,LDV,DFT)系统级设计,系统功能验证与形式由证,系统级软硬件协同设计,可测试性设计气l2)ICD(LP)敏字ASICIC设计,低功ftsoC设计S)DFM I DFY J创造性,量产性,-r测试位3)CIC(AMS.RF)定制IC.数模混合,射贾比4)SPB(PCB,SiP)高速PCO设计,礁封装板级协1.;)$盘H.JF芯片、多模块封装设计|设计平台|dJ L 因1-2集成电路设计方案的发展闺中叶问Jj块:艇示从1)系统设计、幻般字,设计、3)数锁混合设计、4)饭级初封号在设计,flj5)生产创造测试的大孩分工状况.早期的,芯片设计可以自多个设计点工具组合连援元成相应的任务,并发展成针对用户要求的设计流程.当设计变得复杂时,人们提出了豆豆为广泛地解决问题的设计Yf和解决菜专项问姻的设计锦囊由于本书将侧缸数字电路的物理实施,因此以设计流程作为主要指导.设计流程的也立和整合经过多年的发展和优化.包括0.25m、O.18m、130nm、90nm、65nm和当代的45nm技术节点设I-流程,大致上可以用图1-3来分别表示.对于深W.微米(DSM,deepsub micron)芯片(例如,0.35m、0,25m、O.18m)的物JlR实施,在设计ltJE-JlI点解决的任务和l内容有如下乓点:(1)解决rHJf驱动设计(TDD,timing-driven design)的方法。(2)防止工艺天线效应(PAE,processantenna effect).(3)进行信号完整性(SI,signal integrity)分析.4.数字集成电路物理设计设计数据一重视时序库设计数据一歌视功授1荤设计数据-iTi视噪声库布阁规化一电源规划同时完成布图规化一电源规划变得复杂布图规化、也源规化一阳咀tion,MSMV布局一提出时序驱动时序驱动布局一重视SIa1序驱动布局-SI预知R1钟树综合-耳求零偏差时钟树综合一更多使用lalchP1钟树综合一有用偏差4节统一深iJl!微米规贝IJ布统一PAE规则布线-SI颁防(简单的静态功耗估算)功税分析一1t态分析为主功就分析一份态与动态RCYt取一2.5维模型RC提取一3维模型RC提取一3维模型董事态时)f.分析一简单静态时序分析一开始复杂静态时序分析+SI分析布线后优化一IPO,DRV 布线后优化-WLM.物理综舍设计优化+PLE物理设计验一标准方法物理设计骏证+PAE物理设计验证-MP逻辑等价检查一容易边辑等价检查逻辑等价检查-Scan设计签核一bc容易设计签核-wc&bc 设j.f-签核一wc&bc+SI(a)(b)(c)设计数据-MTCMOS库设计数错-SRPG设计数据一PSO布E划规化、电源规化-BD,flipchip 布图布电-Area10 布图布电一S,p时序驱动布局M序驱动布局时序驱动布局时钟树综合一门按时钟时钟树综合-SRPG,CRPR 111钟树综合.-DVFS布线-SI预防布线-MSMV布线-powcr-aware功章毛分析与低功耗-MSMV功统分析与低功耗-PF功耗分析与低功释-.PSO RCt是取一DFMRC提取一DFMlOPCRC提取一OPC/PSM静态时序分析-PPR董事态时序分析-MMMCSSTA-MMMC 设计优化-PLE设计优化-MMMC设计优化-DFY物理设计盘证-OPC物理设计验证-DFM物理设计验证-DFY等价检查一DFT等价检卦叫成功呢奇事价检查-ECO形式验证设计签核.-ocV 设计签核-MMMC 设计签核一SSTA(d)(e)(。图1-3集成电路设计流程的发展与整合(8)O.25m;(b)0.18m;(c)130nm;的物理实施概念,并遥渐使月jTDD以&M序驱动,frj线Ctiming-driven routing).这种方法;T-1997年后逐渐成为标准流程布图(f1rplan)设计中的电源网格仰wergrid)设计较劫实现.u-t钟树综合的焦点是lfi求理想化的零偏);(zero skew),同时挺出了静态JJ辑分析。用十RC寄生参数的提取悦咽为2.5维,静态时Jf分析(STA,static liming analysis)、l让终时序检街和.缝核(sign-ofO不太组朵,用是),.(WC,worst-case)时序库进行建立时间(setuptime)的检刑,保持时间(holdlime)很容劫满足。布线后的优化方法为以地优化CIPO,in.place opli-mization)和l设计规则边反检街CDRV,design rule violation)对于1999年前盯主流为180nm的设计,如国13(b)所尽,电源布世变得复杂,也ll|唏CIRdrop)成为关心的对象,电源规划的概念和i布图规划相互呼此,要求.lI)111准确地计算功施,时序库巾要包括功花信息.fHJf驱功而j),J时要求考虑信号元塾性SI分析注:近年米S1常被称为吨声分析).时钟通常快于200:v1Hz,时钟树结构中更多的应用锁扛mClatch)*设计。在,(jj线时1虫,顶算和防1.1:芯片生产过程l的工艺大线效应CPAE).:(1:RC提取时.为了求1J.lI准确的最终时Jf结果.ll!容空间的三维分布模型和到普遍Jj_JH.在做时序分析时,要同时分析瞧卢,最差和政佳CBC.best-case)时序库:分别用来检奇时序.同时忍之现桂于Ui.遥)线负4!G模型(WLM,wire load model)综合仰米的设1 网点经常不能收敛,往往要反复更新产生定制线负载模型(CWLM,custom WLM).或多次迭代(iteration)后才能收敛。这时,物理综合(physicalsysthesis)的概念被提出并得到应用;由于这种方法会对逻辑结构进行结构化再处理(restructuring),优化后的网发或物理综合产生的新网表,必须要通过设计逻辑等价验证(logicequivalence chccking)去检查。图1-3Cc)所示的130nm流程,其技术节点子2001年前后开始形成.这时,基于多屯源电压(MSMV,multlplsupply multiplvoltage)的低功艳设计成了屯要的设计方案,功屁分析和l喋声分析是必不口1少的要求.时钟树的收敛变得困难,人们提出f有用偏X:(useful skew)的解快办法。由于半导体五艺中化学机械打磨(CMP,chcmical mechanical polishing)的要求,布线后都挺进行CMP的处理和检查.另外,在布局时还要处理扫描链,在最终时Jf检夜时要结合噪声对时序的影响。同时,采用纳米技术的系统芯片50C料门数址迅速增长j!lJ数百万fj(MG,million gates),用于DSM设计的展平物用设计方法见1.2.1节完成设计时间周期太长,闵此人们提出了叶虚拟原型(SVP,silicon virl u al protolyping)的快速设计j来(见1.2.2节).为了解决n-tH!收敛太慢的问跑,)二次化的物理设计方法(hierarchicalpartition,见1.2.3节也与SVP方法同时确立。纳米技术的真J:挑战是2003年前后从90nm的设计开始的,如附卜3(d)所示。低.r)J毛的设计成为主要街求,要求提供多组MTCMOS逻辑悦元W见9.2.2节).多l也源多也l反MSMV的实施,在布图时茧-将不问电压区域(powcrdomain)隔开。由于在掩拽(mask)中;后要对纳米的光刻作OPC,从fy!元库到RC提取.从布线到物理验lF.部要采取棚外的措施.这时,布线后的优化和迦辑综合约合得旦)JI紧密,仓均物理综合CGPS,.6.数字集成电路物理设ilglobal physical synthesis)的方案,结合物理布图参数凹.physical layout estimator)用于加快时ff收敛。DFT.(1;设计中更加复杂.逻辑验iiE周期也加长了.在静态日.tJf分析时,人们开始考虑到片仁说茬(OCV,onchi p varia tion)的影响。2005年前后进入65nm的设计,如剧13(的所示.低功槌设计在以来的多l!ffi多阀值及门控时钟等技术基础上,增加了电视关断(PSO.power shut off)技术,它通过状态保持电源门拧(S即G,staterctention power gating)的实现.增加了物理设计的难度.这时的时序枪验亚加复杂,人们提出了多模式多端角(MMMC.multi-mode multi-corner)条件的分析方案。由于纳米1:艺中OPC平11PSM校正的亚求,人们提lii了更加系统的时制造性设计及其检验程序.2007年第一个45nm的设计参考流程已经发表并付之子实施.如附1-3(f)所沾I51.从芯片到极级设计以及多芯片封装(SIP.silicon in package)技术的应用,将设计数据例如,应用OA数据)和标准fI.j序约束(SIX:,standard dcsign constraints)从芯片到封装险计流程上完全连接了起来.低功提设计则采用了时钟的功态电压频率调节(DVFS.dynam-ic voltage frequency scaling)技术.统讨静态时序分析力法(SSTA.statisticalSTA)得到广泛地应用.可制造性设1I成为设计流梧的重要步骤.1.2.1 展平式物理设计展平式物理设计是集成电路中最基本的一种方法.监常见的例子是标准逻辑门悦元库的建立.它采用了自下而上的方法,先将品体管设计好,经过仿丘,版图建立,再对逻辑门单元仿J.Cill棋,如图1.4(a)所示.标准逻销单元设计流程模块物F哩设计l稳芯片-物理设计流f.!电路设计与仿真电路设计与仿真RIL 设计与功能撞证例如:反向器RAM,Cr l!E IP Block 逻串睡综合版图设计r-版附设计布阁.1.化、电M规化、-NMlnPUI/OUlpUI plOS,VDDIYSS(r以l艇入逻辑垠元(通常俞.j标准逻键单元和愤块)DRC,LVS DRC.LVS P钟树综合、布线lPC.ERC LPE.ERC 岛也元L由E理F建库 模块L自E理F建库功烧、噪声分析单元H序E童声草1-模块时序建库态时序分析与优化(ECSMjCCSM).Llh/ILM 其N。他m模.型p。建、晶宫库 其No他S扭倏,型p。建W库町.核GOSII(a)(b)(c)附1-4集成电路j战平设计流程(IIJ向上(a)单元的:流程I(b)大模块流程I(C)芯片流程分别J意我示了官们的相似性.其中尊Jt:Ji!流裂的统J棍以被大模*l程调用,而rik锁块流程的设rt古5民画在芯

此文档下载收益归作者所有

下载文档
你可能关注的文档
收起
展开