分享
8.12 MASK调制VHDL程序及仿真.doc
下载文档

ID:3084858

大小:59.50KB

页数:3页

格式:DOC

时间:2024-01-19

收藏 分享赚钱
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,汇文网负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
网站客服:3074922707
8.12 MASK调制VHDL程序及仿真 MASK 调制 VHDL 程序 仿真
8.12 MASK调制VHDL程序与仿真 见随书所附光盘中文件:8.12 MASK调制VHDL程序与仿真。 --文件名:PL_MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 --最后修改日期:2004.2.13 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_MASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic_vector(7 downto 0)); --8位DAC数据 end PL_MASK; architecture behav of PL_MASK is signal q:integer range 0 to 7; --计数器 signal qq:integer range 0 to 3; --计数器 signal xx:std_logic_vector(3 downto 0); --并行数据寄存器 signal yy:std_logic_vector(7 downto 0); --8位DAC数据寄存器 begin process(clk) --此进程完成基带信号的串并转换,完成4位并行数据到8位DAC数据的译码 begin if clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=1;xx(3)<=x; if xx(3)='1' then yy<=xx&"1111"; --if语句完成4位并行数据到8位DAC数据转换 elsif xx(2)='1' then yy<=xx&"1011"; elsif xx(1)='1' then yy<=xx&"0111"; elsif xx(0)='1' then yy<=xx&"0011"; else yy<=xx&"0000"; end if; elsif q=2 then q<=3;xx(2)<=x; elsif q=4 then q<=5;xx(1)<=x; elsif q=6 then q<=7;xx(0)<=x; else q<=q+1; end if; end if; end process; process(clk) --对8位DAC数据进行ASK调制 begin if clk'event and clk='1' then if start='0' then qq<=0; elsif qq<2 then qq<=qq+1;y<="00000000"; elsif qq=2 then qq<=3;y<=yy; else qq<=0; end if; end if; end process; end behav; 3. MASK调制程序仿真图及注释 MASK调制程序仿真图及注释如图8.12.6所示。 (a)MASK调制VHDL程序仿真仿真全图 (b)MASK调制VHDL程序仿真局部放大图 图8.12.6 MASK调制VHDL程序仿真图及注释 49

此文档下载收益归作者所有

下载文档
你可能关注的文档
收起
展开