分享
瑞信-亚太地区-半导体行业-中国半导体2019:状况不佳但发展前景良好-2019.4.2-80页.pdf
下载文档
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,汇文网负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
网站客服:3074922707
亚太地区 半导体 行业 中国 2019 状况 不佳 发展前景 良好 2019.4 80
DISCLOSURE APPENDIX AT THE BACK OF THIS REPORT CONTAINS IMPORTANT DISCLOSURES,ANALYST CERTIFICATIONS,LEGAL ENTITY DISCLOSURE AND THE STATUS OF NON-US ANALYSTS.US Disclosure:Credit Suisse does and seeks to do business with companies covered in its research reports.As a result,investors should be aware that the Firm may have a conflict of interest that could affect the objectivity of this report.Investors should consider this report as only a single factor in making their investment decision.2 April 2019 Asia Pacific/Taiwan Equity Research Semiconductor Devices SEMICON China 2019 Research Analysts Randy Abrams,CFA 886 2 2715 6366 randy.abramscredit- Chaolien Tseng 852 2101 6795 chaolien.tsengcredit- Haas Liu 886 2 2715 6365 haas.liucredit- SUPPLY CHAIN RESEARCH A lower profile,yet still aggressive development push continues Figure 1:China semi companies go to capital markets for the next funding Source:Company data,Credit Suisse research Semicon shows a lower profile,but stance is aggressive.Semicon this year expanded a further 10-15%to 100,000 attendees,and the show demonstrated continued strong efforts to build up the industry to move up the value chain,narrow the IC import deficit,address new growth markets,and ensure self-sufficiency of supply.The IC funds and memory suppliers kept a low profile but maintained same concerted effort to build up their industry.Investing through cyclical slowdown.Key takeaways:(1)Local industry bottoming with local peers but facing a muted year.(2)Memory progress slowing post Micron-Jinhua case.(3)Foundry investments moving aheadHuaHong/Huali on 2 12”fabs,SMIC on 14nm R&D,(4)IC design surge in new IPO listings targeting next industry growth drivers.(5)Packaging:SiP(system-in-package)and fan-out are the trends but are attracting competition.(6)Compound semiemerging as a focus area for better local supply.(7)Equipmenta few suppliers emerging(Naura,AMEC,ACM).(8)Materialsseveral wafer suppliers with aggressive plans but no effective capacity yet.Foundry:TSMC top pick,Hua Hong over SMIC on better returns on legacy foundry.We view TSMC within foundry as best placed to benefit from Chinas development on advanced capacity,and prefer Hua Hong to SMIC due to less capital intensity and technology risk and better cash flows on mature nodes.But valuation is now higher and the company will take some time to build scale and incremental profitability with its 12”fab.Back-end:Initiate with OUTPERFORM on USI.We initiate on USI with OUTPERFORM and TP of Rmb18.20 as we expect it to benefit from more SiP content at Apple and faster growth in the non-Apple business in auto/industrial.We are more conservative on Tongfu and JCET where competition and the slow semiconductor environment drag profitability despite high valuation.2 April 2019 SEMICON China 2019 2 Focus charts and tables Figure 2:China foundry/fabless sales still have a small share of the growing China IC market(US$)Figure 3:China foundry/fabless/brand ecosystem Source:SMIC Source:SMIC Figure 4:TSMCs China share remains high Figure 5:China IC companies planning to list Source:Company data,Credit Suisse estimates Source:Company data,China Securities Regulatory Commission Figure 6:China players#4 and#6 among foundries Figure 7:Panel level fan-out may have over-supply Source:iSuppli Source:JCET Figure 8:China expects to maintain 20%growth Figure 9:China domestic equipment suppliers Source:SEMI,CSIA Source:Credit Suisse Estimates 0%10%20%30%40%50%60%70%80%90%100%$0$1,000$2,000$3,000$4,000$5,000$6,000$7,000$8,000$9,000$10,0002009201020112012201320142015201620172018TSMCSMICUMCHua HongTSMCs share in ChinaFoundry sales in China(US$mn)23.3%CAGR from 2010-2018CompanyCategoryAdvanced Micro-Fabrication Equipment Inc(AMEC)EquipmentNational Silicon Industry Group(NSIG)WaferHeJian Technology(Suzhou)FoundryChina Resources Microelectronics Ltd(CR Micro)IDMYantai Raytron TechnologyIDMMontage TechnologyIC designAmlogic(Shanghai)IC designGiantec SemiconductorIC designFudan MicroelectronicsIC designEspressif SystemsIC designAnji MicroelectronicsMaterialEquipment CategoryEquipment SupplierLithographySMEETrackKingsemiEtchAMEC,NMCCleansNaura,Kingsemi,ACMRTP and ThermalNaura,Kingsemi,ACMIon ImplantationZKXThin Film DepositionNMC,PiotechInspection and MeasurementGrand,RaintreeCMP EquipmentTianjin Hwatsing 2 April 2019 SEMICON China 2019 3 A lower profile yet still aggressive development push continues Semicon Chinas 31st anniversary event was the largest to date,with 100,000 participants(up 13%YoY),4,000 booths(up 11%YoY),and 1,200 exhibitors in Shanghai.We hosted meetings with China foundries,fabless,equipment suppliers,distributors,and visited exhibitions from across the ecosystem.We also highlight takeaways from presentations and keynotes from senior management of global chip companies,IC funds,equipment vendors and chip companies representing IC design,foundry,IDM,back-end and compound semiconductors.Takeaways:China tries to target future growth areas Our key takeaways from meetings and presentations at Semicon China:(1)local industry bottoming with local peers but facing a muted year,(2)memory progress slowing post the Micron-Jinhua case,(3)foundry investments move ahead HuaHong/Huali on 2 12”fabs,SMIC on 14nm R&D,(4)IC designa surge in new IPO listings targeting the next industry growth drivers,(5)packaging:SiP and fan-out are the trend but attracting competition,(6)compound semiemerging as a focus area for better local supply,(7)equipmenta few suppliers emerging(Naura,AMEC and ACM),(8)materialsseveral wafer suppliers with aggressive plans but no effective capacity yet.Keynote and China Forum updates of local progress We attended Semicon Chinas opening keynotes and also SEMI Innovation and Investment Forum which provide an overview of the outlook for the overall industry and Chinas IC development,outlook for emerging applicationswith focus this year on 5G,AI/Machine Learning,IoT and Autonomous driving,and views on the China-US trade developments.The keynote presentations this year featured leaders from SEMI,Chinas Electronics Commerce Group,equipment companies(Lam,Applied Materials and Tokyo Electron),manufacturers(Hua Hong and JCET)and industry(Amazon Web Services).The SIIP Forum focused on investments in China and the industry outlook and featured presenters from the China National IC Fund,Shanghais IC Fund,Tsinghua University,Gartner,IBS and Institute of Chinese Academy of Science.Packaging/Compound Semis focus:5G,IoT,&cloud We attended two forums,a back-end technology forum and a compound semiconductor forum.The back-end forum discussed semiconductor packaging innovations to address the industrys diversifying growth from IoT,automotive and high performance computing.Global leading OSATs showcased their capabilities on SiP integrating multiple chips in a package for miniaturization and ease of assembly to lower the cost.The compound semiconductor forum discussed the developing of GaAs,GaN and Silicon Carbide for efficient power management in both consumer(wireless charging)and high voltage(Electric Vehicles),RF with the move towards 5G and consumer applications including 3D sensing and automotive.We attended presentations from Sanan,Qorvo,Finisar,Naura,EpiGaN,and Raysea,and here we discuss the key points from each of the presentations.China memory still a work in progress Chinas development efforts have slowed in the past year after the UMC/Fujian Jinhuas ban on imports of US technology following its indictment for IP theft from Micron.Our checks suggest Innotron in DRAM is also tracking slowly on its development and still in pilot trial production.YMTC is still sampling 32-layer NAND and trying to develop 64-layer NAND.We believe the foundry has 20k WPM in trial production and if it could reach its target milestones,yielding 64-layer NAND would move ahead with orders for 60k WPM equipment in late 3Q19.We would view the memory industry to still have another upturn ahead with limited impact from effective capacity from Chinese suppliers yet,although would need to monitor emergence of China as a new supply source further out.Shanghai field trip company updates We also hosted the Semicon China Tech Tour on 18-19 March to visit listed and private chip companies in the Shanghai area.We summarise takeaways from the meetings which included foundries SMIC and Hua Hong,packaging supplier Amkor,IC distributors Fortune Tech,ODM Wingtech(also merging NXP standard analog products spin-off Nexperia),Commune IDM SiEn Integrated Circuits and high performance computing start-up Illuvatar.China companies targeting domestic manufacturing and organic gains on capability as M&A options are blocked Opening keynotes and Investment Forums provide an overview of Chinas IC development OSATs showcased their technology on SiP and wafer level packaging Chinas memory industry should still have another upturn ahead with limited impact yet from effective capacity,despite the slowdown in the past year due to trade war concerns 2 April 2019 SEMICON China 2019 4 Takeaways:China tries to target future growth areas Semicon Chinas 31st anniversary event was the largest to date,with 100,000 participants(up 13%YoY),4,000 booths(up 11%YoY),and 1,200 exhibitors in Shanghai.We hosted meetings with China foundries,fabless,equipment suppliers,distributors and visited exhibitions from across the ecosystem.We also highlight takeaways from presentations and keynotes from senior management of global chip companies,IC funds,equipment vendors and chip companies representing IC design,foundry,IDM,back-end and compound semiconductors.The event kicked off with a keynote session featuring the President and CEO of SEMI Global and also President of Semi China,President of CECC,Semi Equipment leaders at Applied Materials(CEO),KLA-Tencor(China President),Lam Research(CEO),Tokyo Electron(CTO),and EDA supplier Cadence(CEO)and manufacturing executives including Hua Hong Group(Chairman)and JCET(CEO)as well as from Industry(Amazon GM of Outcome Driven Engineering).We attended presentations across several tracks(Keynote,China Ecosystem Development,Packaging,China Investment Forum,Compound Semiconductor and Memory)which we highlight in the report.In addition,we also met companies during a pre-Semicon field trip and at the show.The following are our takeaways from the event:1)Industry outlook:Bottoming with global peers,but still a muted year.Our field trip meetings with distributors,IC design and equipment suppliers,uniformly still show a slow business environment in Chinas tech supply chain.Distributors are still trying to lower inventory in passive components,memory and logic chips through 1H19,and most companies expect another modestly down year for smartphone shipments in China and limited growth from other consumer products.Despite the softness,most echo the global supply chain sentiment that 1Q19 is forming a deep bottom,with seasonal launches and volume growth from Android underway post CNY and many expecting inventory closer to normal by 2H19.2)China maintaining a lower profile but still aggressive industrial policy.The keynotes and industry forum adopted a low profile,with few mentions of Made in China 2025 or the big dollar announcements of support and investment from the national and local IC funds.Participants tried to emphasise on a tone of cooperation with global partners to foster development.Despite a softer tone,support remains firm and committed,with policy makers giving high priorities to(1)sharply lower Chinas trade gap of semiconductors through import substitution,(2)raising Chinas self-sufficiency from any foreign technology bans by qualifying local 2nd sources,and(3)improving competitiveness across manufacturing,design,equipment,materials,and(4)raising the countrys position to address new growth areas(AI,IoT,5G and EV/ADAS).3)Memoryprogress slowed by the Micron-Jinhua case.Chinas development efforts have slowed in the past year after UMC/Fujian Jinhuas indictment by a US Grand Jury for alleged theft of Microns memory IP.The US followed with an export ban that has pulled out US equipment and materials support from the fab and slowed its on-going development.Our checks at the event also suggest Innotron in DRAM also to be keeping a low profile on its development efforts.YMTC is still sampling 32-layer NAND and trying to develop 64-layer.We believe the foundry has 20k WPM in trial production and if it could reach its target milestones for yielding 64-layer NAND,it would move ahead with orders for 60k WPM equipment in late 3Q19.We would view the memory industry to still have another upturn ahead with limited impact from effective capacity from Chinese We highlight key takeaways from the presentations,keynotes and meetings with senior management of chip companies at SEMICON China 2 April 2019 SEMICON China 2019 5 suppliers yet,although would need to monitor emergence of China as a new supply source to contribute to a downturn after late 2021.Figure 10:Fab investment in China across logic IC and memory from domestic and foreign companies Source:Company data,Credit Suisse research 4)Foundry:China players SMIC/HuaHong invest to raise competitiveness,TSMC still leading both global and China ecosystems:Chinas domestic foundries still have about 10%market share,led by SMIC and Hua Hong.SMIC is in a transition period,targeting only flat-to-low single-digit growth as it slows 28nm expansion due to low profitability to focus on 14nm FinFET development,although may not meaningfully lift profitability for a few more years due to high investment cost.Hua Hong is moving ahead more aggressively on the first 10k WPM of its new 12”fab for first volume production late this year and maintains its plans to ramp 40k WPM 12”fab by 2022 to double capacity to US$2 bn.Its sister company Huali is also building a 2nd advanced 12”fab with with an capacity for 40k for 28-14nm eventually.Figure 11:TSMCs market share of China domestic customers remains high Source:Company Data,Credit Suisse estimates Origin CountryCompanyFab SiteWafer sizeSegmentNode(nm)Installed(WPM)Next phase plannedFinal Capacity(WPM)ChinaFujian JinhuaFujian12DRAM25nmOn Hold060,000ChinaHua HongWuxi12Foundry90-55nm10,00010,00040,000ChinaHuali MicroPudong12Foundry28-14nm10,00010,00040,000ChinaInnotronHefei12DRAMTBD10,0000125,000ChinaSiEnQingdao8Foundry110nm+0030,000ChinaSiEnQingdao12Foundry55/40nm0040,000ChinaSMIC JVBeijing12Foundry40/28nm35,000035,000ChinaSMIC JVShanghai12Foundry14nm03,00070,000ChinaSMICShenzhen12Foundry55nm6,800040,000ChinaTsinghua UnigroupNanjing12NAND/DRAM2x nmTBD0100,000ChinaYMTCWuhan123D NAND3D NAND20,00060,000300,000Upcoming fabs by domestic companies91,80083,000880,000USAlpha&OmegaChongqing8Discretes130nm+25,000025,000KoreaHynixWuxi C312DRAM1x nm060,000130,000USIntelDalian12NAND3D NAND80,00020,000100,000TaiwanPowerchipHefei12Logic90nm6,0005,00040,000KoreaSamsungXian123D NAND3D NAND100,00020,000120,000TaiwanTSMCNanjing12Foundry16nm10,00010,0

此文档下载收益归作者所有

下载文档
猜你喜欢
你可能关注的文档
收起
展开